Electronics Information Service

組込みシステム技術者向け
オンライン・マガジン

MENU

SOLUTION

ソシオネクスト、7nmデザイン向けにケイデンスのデジタル設計フルフローとサインオフツールを採用-ケイデンスが発表

2019.6.5  3:51 pm

ソシオネクストがケイデンスのフルフローを使用して最新16nm大規模ASICチップのテープアウトに成功

ケイデンス・デザイン・システムズ社(本社:米国カリフォルニア州サンノゼ市、以下、ケイデンス)は、6月4日(日本時間)、株式会社ソシオネクスト(本社:神奈川県横浜市、以下、ソシオネクスト)が、ケイデンスのデジタル設計フルフローおよびサインオフツールを使用して16nm大規模ASICチップのテープアウトに成功し、7nmデザイン向けの設計環境を構築したことを発表しました。ソシオネクストは、統合フルフローの機能を使用して16nmデザインの設計を加速しました。

ソシオネクストにおいて16nmおよび7nmデザイン向けに認証されたフローには、Cadence Genus™ Synthesis Solution、 Cadence Conformal® Equivalence Checker、Cadence Innovus™ Implementation System、Cadence Quantus™ Extraction Solution、Cadence Tempus™ Timing Signoff Solution、Cadence Voltus™ IC Power Integrity Solution、Cadence Physical Verification System (PVS) が含まれます。ケイデンスのデジタルフルフローおよびサインオフツールの詳細については、www.cadence.com/go/dsgfullflowをご参照ください。

ソシオネクストチームは、Tempus Timing Signoff SolutionのTempus SmartScope階層モデルを使用することにより、16nm実製品デザインの設計効率化を達成することができました。Tempus SmartScopeモデルは、設計ブロックをチップレベルで高精度に解析できるようにダイナミックに抽象モデル化することにより、階層的なSTA (Static Timing Analysis) サインオフ検証およびサインオフレベルの精度でのECO (Engineering Change Order) を容易化します。

また、Cadence Voltus IC Power Integrity Solution を活用し、16nm以降の FinFETテクノロジにおいて対策が必要なEM(Electromigration)の解析TATを60%削減することができました。

ソシオネクストの7nm設計においては、Innovus Implementation SystemのFlex H-Treeクロック合成機能がPPA (Power, Performance, Area) の向上に不可欠であることがすでに実証されていました。Flex H-Treeは、フロアプラン上の配線禁止領域およびパワーとのトレードオフを考慮する先進のクロック合成技術です。これにより、ソシオネクストは7nmデザインでクロックスキューを目標以内に抑えることが可能になりました。

ソシオネクスト社コメント
安井卓也氏 (オートモーティブ&インダストリアルビジネスグループ LSI開発統括部 統括部長):
「様々なマーケットに向けた先進的なASICおよびASSP製品のサプライヤーとして、PPA最適化および設計期間短縮は極めて重要です。我々は、ケイデンスのデジタル設計フルフローおよびサインオフツールを使用して16nmチップの実現に成功し、ケイデンスのフローを今後の16nmおよび7nmデザインに向けて採用します。ケイデンスとの緊密な協業は、我々の16nmデザインを成功させるために不可欠でした。そして、ケイデンスのフルフローは、今後開発される7nm製品の開発においても不可欠な構成要素となりました。」

ケイデンス コメント
Dr. Chin-Chi Teng (senior vice president and general manager of the Digital & Signoff Group):
「ASICやASSPの設計はますます複雑になり、短TAT化が求められ、マーケットの要求および設計課題は増大し続けています。我々はソシオネクスト様と協業し、ケイデンスのデジタル設計フルフローおよびサインオフ検証ツールを使用した設計に成功していただくためのサポートをしてきました。今後の設計においても継続して協業させていただくことを楽しみにしています。」

論理合成からインプリメンテーションおよびサインオフまで、ケイデンスの統合デジタル設計フルフローおよびサインオフツールは、設計収束に向けた予測性の高い最速パスを提供します。デジタル設計フルフローおよびサインオフツールは、ケイデンスのIntelligent System Design戦略を支えるものであり、システム企業および半導体企業は、他社を差別化できる完成度の高い最終製品をさらに効率よく開発することが可能になります。

■日本ケイデンス・デザイン・システムズ社
 http://www.cadence.co.jp